300 mm Large Area Wire Grid Polarizers with 50 nm Half-Pitch by ArF Immersion Lithography

Nanomaterials (Basel). 2022 Jan 29;12(3):481. doi: 10.3390/nano12030481.

Abstract

The large area wire grid polarizers (LA-WGPs) with 50 nm half-pitch were fabricated using ArF immersion lithography overcoming the limit of the shot field size. To realize the 50 nm line and space patterns on a 300 mm wafer, a zero-distance stitching process that connects the shot fields is suggested. To compensate for mutual interference between the shot fields which is called the local flare effect (LFE), the shot field arrangement is changed with optical proximity correction (OPC). Using a master wafer produced by the suggested method, 300 mm large-area WGPs were fabricated by the nano-imprint process. The WGPs have more than 80% transmittance in the visible light region, and the possibility of performance improvement can be confirmed depending on the number and method of the etch process.

Keywords: 50 nm half-pitch; ArF immersion; LFC; OPC; flare; stitching; wire grid polarizer.